درس مدارهای منطقی از جمله دروسی است که دانشجویان در زمان کوتاهی به آن مسلط میشوند و میتوانند تستهای آن را حل کنند؛ اما برای تسلط در تستزنی این درس، دسترسی به پاسخ تشریحی کنکورهای برگزار شده، مخصوصاً آخرین کنکور اهمیت بالایی دارد. در این مقاله پاسخ تشریحی مدار منطقی ۱۴۰۳ کنکور ارشد مهندسی کامپیوتر ارائه شده است. برای دسترسی به پاسخ تشریحی مدار منطقی سالهای قبل میتوانید از دوره نکته و تست مدار های منطقی و یا پلتفرم آزمون استفاده کنید که در ادامه مقاله توضیح داده شده است.
پاسخ تشریح مدار منطقی ۱۴۰۳
متوسط با درنظر گرفتن یک واحد تأخیر برای هر گیت در مدار زیر، ورودی به چه صورت تغییر کند تا در خروجی هازارد مشاهده شود؟ ترتیب ورودی را بهصورت $WXY$ درنظر بگیرید.
هازارد و دیاگرامهای زمانی خروجی مدارها
1 $100 \to 110$
2 $101 \to 111$
3 $110 \to 100$
4 $111 \to 101$
راهحل اول:
برای حل این سؤال باید گزینهها را بررسی کنیم.
گزینه اول)
هازارد مشاهده نشد.
گزینه دوم)
هازارد مشاهده نشد.
گزینه سوم)
هازارد مشاهده شد.
این گزینه صحیح است.
گزینه چهارم)
هازارد مشاهده نشد.
راهحل دوم:
در چنین سوالاتی مسیری که هر ورودی تا خروجی مدار طی میکند را بررسی میکنیم:
از آنجایی که ورودی $Y$ تنها یک مسیر به خروجی دارد و آن هم فقط از یک گیت میگذرد، این ورودی باعث ایجاد هازارد نمیشود؛ اما باید توجه داشته باشید که این ورودی مستقیم به گیت $OR$ خروجی وصل شده است و اگر 1 باشد خروجی را 1 میکند؛ پس گزینههایی که $Y$ در آنها 1 است نادرست هستند. رد گزینه 2 و 4
در طرف دیگر مسیر $X$ به خروجی دو مسیر دارد و در یکی از مسیرها گیت $NOT$ اضافی وجود دارد که احتمال ایجاد هازارد را نشان میدهد. در دو گزینه باقی مانده $W$ برابر یک است، پس نمیتوانیم با استفاده از این ورودی گزینهای را حذف کنیم و باید به تحلیل یکی از دو گزینه باقی مانده بپردازیم.
در صورتی که گزینه 3 را بررسی کنیم:
مشخص است که به اندازه تاخیر گیت $NOT$ پالس منفی در خروجی ایجاد میشود. بنابراین گزینه 3 صحیح است.
متوسط مدار ترتیبی زیر با ورودی $x$ و خروجی $z$ را درنظر بگیرید. کدام مورد، ماشین حالت این مدار را نشان میدهد؟ (متغیرهای حالت بهصورت $y_1y_2$ درنظر گرفته شوند.)
تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپها
1
2
3
4
راهحل اول:
گزینه 3 صحیح است.
برای حل این سؤال باید مقادیر $y_1y_2$ را مقدارهایی متناظر با حالتهای State Diagram درنظر بگیریم و بررسی کنیم با ورودی $(x)$ مختلف وارد چه حالتی میشویم و خروجی در حالتی قرار دارد که درصورتیکه گزینه این را نشان نمیداد، گزینه را رد کنیم.
با کمی دقت متوجه میشویم که گزینهها همپوشانی زیادی ندارند و میشود با بررسی یک حالت جواب درست را پیدا کرد.
برای مثال حالت $\begin{array}{cc}y_1 & y_2 \\ 0 & 1 \end{array}$ مشاهده میشود که با هر مقدار $x$ خروجی $z$ (خروجی AND) صفر میشود. درنتیجه ورودی $K$ فلیپفلاپ $JK$ برابر 0 و ورودی فلیپفلاپ $D$ نیز برابر 1 میشود که با آمدن کلاک و اعمال شدن ورودیها $Q_{JK-flipflop}=1$ و $Q_{D-flipflop}=1$ میشود. درنتیجه $\begin{array}{cc}y_1 & y_2 \\ 1 & 1 \end{array}$ میشود که فقط در گزینه 3 رعایت شده است.
راهحل دوم:
در تحلیل چنین سوالاتی با حذف گزینه میتوان سوال را حل کرد.
با توجه به شکل مدار ورودی مستقیما روی خروجی تاثیر میگذارد و اگر ورودی صفر شود، خروجی نیز صفر میشود.
بیایید حالت اولیه 10 را تحلیل کنیم:
طبق تصویر بالا مدار در حالت 10 با ورودی
صفر و
یک به ترتیب به حالات
10 و
00 میرود.
گزینه 1
این گزینه، از حالت 10 با هر دو ورودی 0 و 1 به حالت 11 میرود.
گزینه 2
این گزینه، از حالت 10 با ورودی صفر و یک به ترتیب به حالات 10 و 01 میرود.
گزینه 4
این گزینه، از حالت 10 با ورودی صفر و یک به ترتیب به حالات 11 و 10 میرود.
بنابراین گزینه 3 صحیح است.
دشوار فرض کنید یک تراشه (آی سی) برنامهپذیر در اختیار داریم که در آن، آرایهای از بلوکها مانند شکل (الف) وجود دارد. مدار سطح گیت شکل (ب) بدون سادهسازی، حداقل به چه تعداد از این بلوکها نیاز دارد؟ (محتوای ROM و خط انتخاب مالتیپلکسر (S)، قابل برنامهریزی هستند.)
تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپها
1 2
2 3
3 4
4 5
گزینه 2 صحیح است.
در شکل الف) ROM قابل برنامهریزی است، که در اینصورت هر تابعی 4 متغیره قابل پیادهسازی است.
میتوان مدار شکل ب) را بهشکل زیر بیان کرد.
ورودی $i_1$ به فلیپفلاپ متصل است؛ درنتیجه باید حتماً از بلوک الف) با S=1 استفاده کرد. درنهایت با 3 بلوک میتوان مدار شکل ب) را پیادهسازی کرد.
گزینه 2 صحیح است.
متوسط شکل زیر، مدار سطح گیت تابع $f$ را نشان میدهد که بخشی از این تابع، با عنوان بلوک $BB$ با جدول درستی مشخص شده است. تابع $f$، کدام مورد است؟
مدارات ترکیبی
$z$ |
$x_3$ |
$x_2$ |
$x_1$ |
$1$ |
$0$ |
$0$ |
$0$ |
$1$ |
$1$ |
$0$ |
$0$ |
$0$ |
$0$ |
$1$ |
$0$ |
$0$ |
$1$ |
$1$ |
$0$ |
$1$ |
$0$ |
$0$ |
$1$ |
$1$ |
$1$ |
$0$ |
$1$ |
$1$ |
$0$ |
$1$ |
$1$ |
$1$ |
$1$ |
$1$ |
$1$ |
1 $(a+c+d)(b+c+d)(a'+b'+c')$
2 $(a'+c'+d')(b'+c'+d')(a+b+c)$
3 $(c+d')(a+c')(b+c')(a'+b'+c)$
4 $(c'+d)(a'+c)(b'+c)(a+b+c')$
گزینه 1 صحیح است.
ابتدا تابع $BB$ را محاسبه میکنیم. باتوجه به جدول میتوان گفت:
$BB:f_{(x_1,x_2,x_3)}=\overline{\left(\overline{x_1}x_2\right)}$
باتوجه به تابع $BB$ میتوان خروجی را بهصورت زیر نوشت:
$f_{(a,b,c,d,e)}=\left(ab\oplus c\right)+\overline{c}d=\left(ab\overline{c}+\left(\overline{a}+\overline{b}\right)c\right)+\overline{c}d=ab\overline{c}+c\overline{a}+c\overline{b}+\overline{c}d$
باتوجه به اینکه $f$ به $e$ وابسته نیست میتوان جدول کارنو چهارمتغیر را بهشکل زیر رسم کرد:
حال گزینهها را بررسی میکنیم:
گزینه اول: $(a+c+d)(b+c+d)(a'+b'+c')$
این گزینه صحیح است.
گزینه دوم: $(a'+c'+d')(b'+c'+d')(a+b+c)$
گزینه غلط است.
گزینه سوم: $(c+d')(a+c')(b+c')(a'+b'+c)$
گزینه غلط است.
گزینه چهارم: $(c'+d)(a'+c)(b'+c)(a+b+c')$
آسان کد Verilog/VHDL زیر را درنظر بگیرید. باتوجه به مقادیر ورودیها که بهصورت شکل موج داده شدهاند، مقدار خروجی $z$ پس از چهار سیکل، کدام است؟
تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپها
module exam(A, B, d, e, z);
input A, B, d, e;
output z;
wire [7:0] A, B;
wire d, e;
reg [7:0] z;
always @(posedge d or negedge e)
if( !e ) begin
z <= 0;
end else begin
z <= z + A + B;
end
endmodule
entity exam is
port(
d, e : in bit;
A, B : in signed (7 downto 0);
z : out signed (7 downto 0));
end entity exam;
architecture AR of exam is
begin
process(d, e)
begin
if e = ‘0’ then
z <= (others => ‘0’);
elseif rising_edge(d) then
z <= z + A + B;
end if;
end process;
end AR;
1 83
2 61
3 31
4 20
گزینه 3 صحیح است.
در کد مشاهده میشود که درصورت لبه مثبت d
یا لبه منفی e
بدنهی بلوک always اجرا میشود.
اولینبار شرط در $t_1$ برقرار میشود. بهدلیل اینکه $e=0$ است شرط if برقرار میشود و مقدار $z=0$ میشود.
در زمان $t_2$ شرط برقرار میشود و چون $e=1$ است بدنه else اجرا میشود که مقدار $A$ و $B$ که در این زمان بهترتیب 14 و 16 است با $z$ که 0 است جمع میشود و $z=30$ میشود.
در زمان $t_3$ بهدلیل لبهی منفی $e$ شرط always برقرار میشود و باتوجه به اینکه مقدار $e=0$ است شرط if برقرار میشود و $z=0$ میشود.
در زمان $t_4$ شرط برقرار میشود و چون $e=1$ است بدنه else اجرا میشود و $z=0+5+6=11$ میشود.
در زمان $t_5$ شرط برقرار میشود و چون $e=1$ است بدنه else اجرا میشود و $z=11+10+10=31$ میشود.
مقدار نهایی $z=31$ است. درنتیجه گزینه 3) صحیح است.
متوسط مقدار ترتیبی زیر با ورودیهای $i_1$ الی $i_3$ و خروجی $z$ را درنظر بگیرید. فرض کنید که arrival time ورودیها صفر است. با توجه به اطلاعات زمانی دادهشده از فلیپفلاپها و گیتها، ماکزیمم فرکانس کاری مدار، تقریباً چند مگاهرتز است؟
تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپها
تاخیر گیتها$\begin{array}{c} T_{NAND}=T_{NOR}=5ns \\ \ \ T_{AND}=7ns \\ \ \end{array}$
$\begin{array}{c} DFF_S:T_{Setup}=4ns \\ \ \ \ \ \ \ \ \ \ \ T_{hold}=3ns \\ {\ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ T}_{clk-to-q}=4ns \end{array}$
1 50
2 55.56
3 62.5
4 71.429
گزینه 1 صحیح است.
بهطور کلی داریم:
$T_{clk}\ge Delay_{FF}+\underbrace{Delay_{Max}}_{*}+T_{Setup}$
*: بیشترین تأخیر مدار ترکیبی که بین یک فلیپفلاپ تا یک فلیپفلاپ دیگر قرار دارد.
در این مدار باتوجه به مقادیر داده شده بیشترین تأخیر از خروجی فلیپفلاپ $r_2$ به ورودی فلیپفلاپ $r_1$ است. که برابر
$T_{NAND}+T_{AND}=5ns+7ns=12ns$
درنتیجه میتوان نوشت:
$T_{clk}\ge Delay_{FF}+Delay_{Max}+T_{Setup}$
$\ge 4ns+12ns+4ns=20ns$
$T_{clk}=\frac{1}{T_{clk}}=\frac{1}{20ns}=50MHz$
آسان اگر رشته "110101" (از چپ به راست) به ورودی W اعمال شود، خروجی این مدار، کدام رشته خواهد بود؟ خروجی اولیه فلیپفلاپها را صفر درنظر بگیرید.
:Majority مداری است که اگر حداقل دو ورودی از سه ورودی یک باشند، خروجی یک میشود.
تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپها
1 $011010$
2 $011001$
3 $010101$
4 $011101$
برای حل این سؤال کافی است رشته را وارد کنیم و کلاک بزنیم.
سوال در مورد مقادیر اولیه چیزی نگفته است؛ درنتیجه مقادیر اولیه را صفر درنظر میگیریم.
با درنظر گرفتن ورودی تابع Majority از بالا به پایین به شکل
میتوان گفت:
$\begin{array}{ccccc}y_2 & y_1 & y_0 & \to & F \\ 0 & 0 & 0 & \to & 0 \\ 1 & 0 & 0 & \to & 0 \\ 1 & 1 & 0 & \to & 1 \\ 0 & 1 & 1 & \to & 1 \\ 1 & 0 & 1 & \to & 1 \\ 0 & 1 & 0 & \to & 0 \\ 1 & 0 & 1 & \to & 1 \end{array}$
رشته خروجی برابر با “011101” میباشد درنتیجه گزینه 4) صحیح است.
آشنایی با درس مدار منطقی
درس مدارهای منطقی به بررسی نحوه عملکرد و تحلیل مدارهای ترکیبی و ترتیبی میپردازد که در کنکور ارشد کامپیوترمعرفی ارشد کامپیوترارشد کامپیوتر چیست؟
این مقاله عالی به معرفی ارشد کامپیوتر میپردازد و درباره آینده رشته کامپیوتر و نحوه اپلای کردن توضیح میدهد ۷ تست از آن مطرح میشود. برای آشنایی بیشتر با این درس پیشنهاد میکنیم به صفحه مدارهای منطقیآموزش مدار منطقی به زبان ساده - بررسی مدار منطقی و انواع آنامروزه درک صحیحی از مدارهای منطقی برای هر مهندس برق و کامپیوتر ضروری است. این مدارها عنصر اصلی کامپیوترها و بسیاری از وسایل الکترونیکی اطراف ما هستند، در این صفحه به بررسی و آموزش مدار منطقی پرداخته شده است مراجعه کنید؛ همچنین برای شناخت تستهای این درس در کنکور ارشد میتوانید صفحه نمونه سوالات درس مدار منطقینمونه سوالات مدار منطقی با جواب - مثال های مدار منطقیدر این صفحه نمونه سوالات مدار منطقی با پاسخ تشریحی برای شما عزیزان قرار داده شده است، سعی شده مثال های مدار منطقی تمامی مباحث منطقی را در بر گیرد را بخوانید و میتوانید بودجهبندی تستهای این درس را در صفحه بودجه بندی سوالات کنکور ارشد کامپیوتر و فناوری اطلاعاتبودجه بندی سوالات کنکور ارشد کامپیوتر و فناوری اطلاعاتداوطلبان قبل از شروع مطالعه و برنامه ریزی برای کنکور ارشد لازم است تا از سرفصلهای هر درس در کنکور ارشد کامپیوتر و آی تی و همچنین میزان اهمیت هر کدام اطلاع داشته باشند. در این صفحه بودجه بندی کنکور ارشد مهندسی کامپیوتر و فناوری اطلاعات به منظور راهنمایی شما به طور جامع آورده شده است.
مشاهده کنید.
اهمیت استفاده از پاسخ تشریحی برای فهم بهتر درس
حتماً میدانید که پاسخ کلیدی تستهای کنکور بهتنهایی سبب مسلطشدن در تستزنی نمیشود. شما باید به پاسخ تشریحی تستها نیز دسترسی داشته باشید؛ همچنین باید بدانید که تست های درس مدار منطقی روشهایی برای حل سریع دارند که اگر دانشجویان از آنها آگاه نباشند، زمان زیادی از کنکور را فقط صرف یک تست خواهند کرد؛ بنابراین در ادامه روشهایی برایتان آوردهایم که میتوانید به پاسخهای تشریحی و نکات تستی درس دسترسی داشته باشید.
روش های دسترسی به پاسخ تشریحی مدار منطقی کنکور ۱۴۰۳
برای دسترسی به پاسخ تشریحی درس مدارهای منطقی از حدود بیست سال پیش تا سال ۱۴۰۳ دو روش برایتان مهیا کردهایم:
دوره نکته و تست مدار منطقی
دوره نکته و تست مدارهای منطقی بهصورت کامل به حل تمامی تست های کنکور برای درس مدارهای منطقی میپردازد و نکاتی برای حل سریعتر تستها بیان میکند که شما را قادر میسازد در زمان کمتری بهتمامی سؤالات پاسخ دهید. برای آشنایی بیشتر با این دوره به صفحه دوره نکته و تست مدارهای منطقی مراجعه کنید. همچنین برای دیدن ویدئو های آموزش مدارمنطقی میتوانید به صفحه دوره درس مدار های منطقی مراجعه کنید.
پلتفرم آزمون درس مدارهای منطقی
پلتفرم آزمون علاوه بر تمامی تستهای سالهای گذشته ویژگیهای متمایزکننده دیگری نیز دارد، از جمله:
- امکان رقابت با سایر دانشجویان
- دسترسی به سؤالات تألیفی
- امکان ایجاد آزمون شخصیسازی شده
- امکان برچسبگذاری تستها در حین و بعد از آزمون
- امکان سطحبندی آزمون بر اساس سختی
برای آشنایی بیشتر با پلتفرم آزمون و ثبتنام در آن، به صفحه پلتفرم آزمون کنکور کامپیوتر مراجعه کنید.
دانلود رایگان جزوه درس مدار منطقی
اگر برای درس مدار منطقی نیازمند جزوه هستید، میتوانید به جزوه اساتید مطرح درس مدارهای منطقی در صفحه دانلود رایگان جزوه مدار منطقیدانلود رایگان جزوه مدار منطقی، بهترین جزوه های مدار منطقیدر این صفحه بهترین جزوه های مدار منطق برای شما گردآوری شده است، جزوات مدار منطقی قرار گرفته در این صفحه بهترین جزوه های مدار منطقی موجود هستند دسترسی داشته باشید.
کتاب های درس مدار منطقی
مجموعه کامل کتابهای درس مدار منطقی که در بسیاری از دانشگاهها تدریس میشود را میتوانید بهصورت رایگان از صفحه کتاب های درس مدار منطقیدانلود کتاب های مدار منطقیاین صفحه عالی به معرفی کتاب های مدار منطقی پرداخته و کتاب های مدار منطقی فارسی و رفرنس را بررسی کرده و ویژگی هر یک از کتاب های منطقی را نیز بررسی کرده است دانلود کنید.
جمعبندی
پاسخ تشریحی تستها به شما کمک میکند که فرایند حل تست را متوجه شوید و به تسلط بیشتری برسید. ازاینرو دو روش برای دسترسی به پاسخ تشریحی مدار منطقی کنکور ۱۴۰۳ در اختیارتان قرار دادیم: (۱) استفاده از دوره نکته و تست مدار منطقی و (۲) استفاده از پلتفرم آزمون برای درس مدار منطقی؛ با استفاده از هر یک از این روشها، شما میتوانید به تسلط خوبی از درس مدارهای منطقی برسید و درصد بالایی در این درس کسب کنید.
چگونه می توانم به پاسخ تشریحی تست های کنکور مدارهای منطقی دسترسی داشته باشم؟
شما میتوانید با شرکت در دوره نکته و تست مدار منطقی یا ثبتنام پلتفرم آزمون مدار منطقی، به پاسخ تشریحی تستهای مدار منطقی دسترسی داشته باشید.
من به محتوای درس مدارهای منطقی مسلط نیستم، چه کار کنم؟
شما میتوانید مباحث درسی مدارهای منطقی از دوره درس مدار منطقی فرابگیرید و سپس از دوره نکته و تست مدارهای منطقی یا پلتفرم آزمون مدارهای منطقی استفاده کنید.
آیا با تهیه منابع ذکر شده، نیازمند کتاب یا منبع دیگری هستم؟
خیر، این منابع جامعیت کافی دارند و شما نیاز به منبع دیگری ندارید.
اشتراکhttps://www.konkurcomputer.ir/a67e